domingo, 5 de noviembre de 2017

Modoulo VHDL para un encoder de cuadratura en modo X4

El código de este módulo es sólo una modificación del código de Dr Dew (Scilab Ninja). La única diferencia es que le he agregado el conteo de ascenso y descenso para un registro de 16 bits. National Instrumentes tiene una nota en español muy completa sobre el manejo de encoders de cuadratura. La ventaja del modo X4 es que permite tener la máxima resolución angular posible para un encoder.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity encoder is
Port ( Clk : in STD_LOGIC;
A : in STD_LOGIC;
B : in STD_LOGIC;
POS : out STD_LOGIC_VECTOR (15 downto 0));
end encoder;
architecture Behavioral of encoder is
---
signal Q0,Q1,U,D : STD_LOGIC := '0';
signal count : integer range -32768 to 32767 := 0;
---
begin
process (Clk) begin
if(Clk'event and Clk='1') then
Q0 <= A xor B;
Q1 <= B;
end if;
end process;
U <= (not A and not B and Q1) or (not A and Q1 and not Q0)
or (A and not Q1 and not Q0) or (A and B and not Q1);
D <= (not A and B and not Q1) or (not A and not Q1 and Q0)
or (A and Q1 and Q0) or (A and not B and Q1);
process (clk) begin
if rising_edge(clk) then
if U = '1' then
count <= count + 1;
elsif D = '1' then
count <= count - 1;
end if;
end if;
end process;
POS <= std_logic_vector(to_unsigned(count, POS'length));
end Behavioral;
view raw encoder.vhd hosted with ❤ by GitHub

No hay comentarios: