lunes, 28 de enero de 2013

St. George

Tengo una bandera de Inglaterra colgada justo arriba de la cabecera de mi cama. Si, la bandera de Saint George. La razón por la cual conseguí esta bandera y no la de Reino Unido es por los 4 espacios blancos. De otra manera la tinta negra de las firmas de mis amigos no tendrían tan buen contraste. A diferencia de muchos de mis compañeros de mi estancia en las islas británicas, les pedí a todos ellos escribir en sus lenguas maternas. Cada vez que siento que mi mundo se cae miro esa pared y recuerdo el inmenso tamaño de la Tierra. Veo entonces en un sólo cuadro las historias de la gente de todas las esquinas del mundo, separadas por la distancia pero envueltas en la misma lidia eterna con la vida. No, aunque hemos llegado a pensarlo muchas veces, nunca hemos estado realmente solos.

martes, 22 de enero de 2013

Des livres

¿Importan los autores que lea una persona a la hora de juzgarla? No, realmente. Cada quien es libre de elegir que quiere leer. Aquellos que critican a autores mainstream como Stephenie Meyer pasan por alto los cientos de miles de jóvenes que tomaron un libro por voluntad propia, quizá, por primera vez en su vida. ¿Importa el número de libros leídos en este mismo juicio? Por supuesto. Al contrario de la opinión de algunos, especialmente aquellos a los que la lectura les un placer fundamental, es un factor importante. No puedo evitar apreciar la objetividad de los números. Para explicarme mejor considera el tiempo como otra variable importante porque todas las ideas, palabras, conversaciones, tragedias, aventuras y personas con las que vamos  a cruzarnos durante nuestra vida, están representadas por un número finito. El punto aquí es la posibilidad de maximizar el flujo de ideas sin limitarse a la época, el idioma o las naciones. 

miércoles, 16 de enero de 2013

Generador de pulsos de 1Hz en VHDL (pulso por segundo)

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


entity segundo is
port ( pulso : inout std_logic:='0';
       clk   : in std_logic);
        
end entity;

architecture divisor of segundo is
signal count : integer :=1;
begin
process(clk) begin
   if(clk'event and clk='1') then
      count <=count+1;
      if(count = 50000000) then --count = frec de reloj/frec deseada
         PULSO <= not PULSO;
         count <=1;
      end if;
  end if;
end process;

end architecture;


Nota: Variando el valor de count, se puede utilizar el componente como divisor de frecuencia y obtener cualquier frecuencia menor a la del reloj que se esté usando.